Commit f2cb691a authored by Veaceslav Falico's avatar Veaceslav Falico Committed by David S. Miller

bonding: use the new options to correctly set last_arp_rx

Now that the options are in place - arp_validate can be set to receive all
the traffic or only arp packets to verify if the slave is up, when the
slave isn't validated.

CC: Rob Landley <rob@landley.net>
CC: "David S. Miller" <davem@davemloft.net>
CC: Nikolay Aleksandrov <nikolay@redhat.com>
CC: Ding Tianhong <dingtianhong@huawei.com>
CC: Neil Horman <nhorman@tuxdriver.com>
Signed-off-by: default avatarVeaceslav Falico <vfalico@redhat.com>
Signed-off-by: default avatarDavid S. Miller <davem@davemloft.net>
parent 52f65ef3
...@@ -2255,15 +2255,16 @@ int bond_arp_rcv(const struct sk_buff *skb, struct bonding *bond, ...@@ -2255,15 +2255,16 @@ int bond_arp_rcv(const struct sk_buff *skb, struct bonding *bond,
struct arphdr *arp = (struct arphdr *)skb->data; struct arphdr *arp = (struct arphdr *)skb->data;
unsigned char *arp_ptr; unsigned char *arp_ptr;
__be32 sip, tip; __be32 sip, tip;
int alen; int alen, is_arp = skb->protocol == __cpu_to_be16(ETH_P_ARP);
slave->last_arp_rx = jiffies; if (!slave_do_arp_validate(bond, slave)) {
if ((slave_do_arp_validate_only(bond, slave) && is_arp) ||
if (skb->protocol != __cpu_to_be16(ETH_P_ARP)) !slave_do_arp_validate_only(bond, slave))
slave->last_arp_rx = jiffies;
return RX_HANDLER_ANOTHER; return RX_HANDLER_ANOTHER;
} else if (!is_arp) {
if (!slave_do_arp_validate(bond, slave)) return RX_HANDLER_ANOTHER;
goto out_unlock; }
alen = arp_hdr_len(bond->dev); alen = arp_hdr_len(bond->dev);
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment