Commit 3e43cb33 authored by Hans Verkuil's avatar Hans Verkuil Committed by Mauro Carvalho Chehab

[media] v4l2-pci-skeleton.c: fill in device_caps in video_device

With the new core support for the caps the driver no longer needs
to set device_caps and capabilities in the querycap call.
Signed-off-by: default avatarHans Verkuil <hans.verkuil@cisco.com>
Signed-off-by: default avatarLaurent Pinchart <laurent.pinchart+renesas@ideasonboard.com>
Signed-off-by: default avatarMauro Carvalho Chehab <mchehab@osg.samsung.com>
parent 7bbe7813
...@@ -308,9 +308,6 @@ static int skeleton_querycap(struct file *file, void *priv, ...@@ -308,9 +308,6 @@ static int skeleton_querycap(struct file *file, void *priv,
strlcpy(cap->card, "V4L2 PCI Skeleton", sizeof(cap->card)); strlcpy(cap->card, "V4L2 PCI Skeleton", sizeof(cap->card));
snprintf(cap->bus_info, sizeof(cap->bus_info), "PCI:%s", snprintf(cap->bus_info, sizeof(cap->bus_info), "PCI:%s",
pci_name(skel->pdev)); pci_name(skel->pdev));
cap->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_READWRITE |
V4L2_CAP_STREAMING;
cap->capabilities = cap->device_caps | V4L2_CAP_DEVICE_CAPS;
return 0; return 0;
} }
...@@ -872,6 +869,8 @@ static int skeleton_probe(struct pci_dev *pdev, const struct pci_device_id *ent) ...@@ -872,6 +869,8 @@ static int skeleton_probe(struct pci_dev *pdev, const struct pci_device_id *ent)
vdev->release = video_device_release_empty; vdev->release = video_device_release_empty;
vdev->fops = &skel_fops, vdev->fops = &skel_fops,
vdev->ioctl_ops = &skel_ioctl_ops, vdev->ioctl_ops = &skel_ioctl_ops,
vdev->device_caps = V4L2_CAP_VIDEO_CAPTURE | V4L2_CAP_READWRITE |
V4L2_CAP_STREAMING;
/* /*
* The main serialization lock. All ioctls are serialized by this * The main serialization lock. All ioctls are serialized by this
* lock. Exception: if q->lock is set, then the streaming ioctls * lock. Exception: if q->lock is set, then the streaming ioctls
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment