Commit 62c50a34 authored by Franky Lin's avatar Franky Lin Committed by Kalle Valo

brcmfmac: wrap brcmf_fws_init into bcdc layer

Create a new protocol layer interface brcmf_proto_init_cb for protocol
layer to finish initialzation after core module components(fweh and
etc.) are initialized.
Signed-off-by: default avatarFranky Lin <franky.lin@broadcom.com>
Reviewed-by: default avatarArend Van Spriel <arend.vanspriel@broadcom.com>
Signed-off-by: default avatarArend van Spriel <arend.vanspriel@broadcom.com>
Signed-off-by: default avatarKalle Valo <kvalo@codeaurora.org>
parent 36491b15
......@@ -417,6 +417,12 @@ brcmf_proto_bcdc_reset_if(struct brcmf_if *ifp)
brcmf_fws_reset_interface(ifp);
}
static int
brcmf_proto_bcdc_init_done(struct brcmf_pub *drvr)
{
return brcmf_fws_init(drvr);
}
int brcmf_proto_bcdc_attach(struct brcmf_pub *drvr)
{
struct brcmf_bcdc *bcdc;
......@@ -443,6 +449,7 @@ int brcmf_proto_bcdc_attach(struct brcmf_pub *drvr)
drvr->proto->add_if = brcmf_proto_bcdc_add_if;
drvr->proto->del_if = brcmf_proto_bcdc_del_if;
drvr->proto->reset_if = brcmf_proto_bcdc_reset_if;
drvr->proto->init_done = brcmf_proto_bcdc_init_done;
drvr->proto->pd = bcdc;
drvr->hdrlen += BCDC_HEADER_LEN + BRCMF_PROT_FW_SIGNAL_MAX_TXBYTES;
......
......@@ -986,7 +986,7 @@ int brcmf_bus_started(struct device *dev)
}
brcmf_feat_attach(drvr);
ret = brcmf_fws_init(drvr);
ret = brcmf_proto_init_done(drvr);
if (ret < 0)
goto fail;
......
......@@ -47,6 +47,7 @@ struct brcmf_proto {
void (*add_if)(struct brcmf_if *ifp);
void (*del_if)(struct brcmf_if *ifp);
void (*reset_if)(struct brcmf_if *ifp);
int (*init_done)(struct brcmf_pub *drvr);
void *pd;
};
......@@ -145,4 +146,12 @@ brcmf_proto_reset_if(struct brcmf_pub *drvr, struct brcmf_if *ifp)
drvr->proto->reset_if(ifp);
}
static inline int
brcmf_proto_init_done(struct brcmf_pub *drvr)
{
if (!drvr->proto->init_done)
return 0;
return drvr->proto->init_done(drvr);
}
#endif /* BRCMFMAC_PROTO_H */
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment