Commit bb793e2b authored by David Woodhouse's avatar David Woodhouse Committed by John W. Linville

[PATCH] libertas: More endianness fixes.

Now it at least manages to load the firmware.
Signed-off-by: default avatarDavid Woodhouse <dwmw2@infradead.org>
Signed-off-by: default avatarJohn W. Linville <linville@tuxdriver.com>
parent 981f187b
...@@ -313,7 +313,7 @@ static int if_prog_firmware(wlan_private * priv) ...@@ -313,7 +313,7 @@ static int if_prog_firmware(wlan_private * priv)
/* /*
lbs_deb_usbd(&cardp->udev->dev, lbs_deb_usbd(&cardp->udev->dev,
"Data length = %d\n", fwdata->fwheader.datalength); "Data length = %d\n", le32_to_cpu(fwdata->fwheader.datalength));
*/ */
cardp->fwseqnum = cardp->fwseqnum + 1; cardp->fwseqnum = cardp->fwseqnum + 1;
...@@ -332,7 +332,7 @@ static int if_prog_firmware(wlan_private * priv) ...@@ -332,7 +332,7 @@ static int if_prog_firmware(wlan_private * priv)
memcpy(cardp->bulk_out_buffer, fwheader, FW_DATA_XMIT_SIZE); memcpy(cardp->bulk_out_buffer, fwheader, FW_DATA_XMIT_SIZE);
usb_tx_block(priv, cardp->bulk_out_buffer, FW_DATA_XMIT_SIZE); usb_tx_block(priv, cardp->bulk_out_buffer, FW_DATA_XMIT_SIZE);
} else if (fwdata->fwheader.dnldcmd == FW_HAS_LAST_BLOCK) { } else if (fwdata->fwheader.dnldcmd == cpu_to_le32(FW_HAS_LAST_BLOCK)) {
/* /*
lbs_deb_usbd(&cardp->udev->dev, lbs_deb_usbd(&cardp->udev->dev,
"Host has finished FW downloading\n"); "Host has finished FW downloading\n");
......
...@@ -100,7 +100,7 @@ struct fwsyncheader { ...@@ -100,7 +100,7 @@ struct fwsyncheader {
#define FW_HAS_LAST_BLOCK 0x00000004 #define FW_HAS_LAST_BLOCK 0x00000004
#define FW_DATA_XMIT_SIZE \ #define FW_DATA_XMIT_SIZE \
sizeof(struct fwheader) + fwdata->fwheader.datalength + sizeof(u32) sizeof(struct fwheader) + le32_to_cpu(fwdata->fwheader.datalength) + sizeof(u32)
int usb_tx_block(wlan_private *priv, u8 *payload, u16 nb); int usb_tx_block(wlan_private *priv, u8 *payload, u16 nb);
void if_usb_free(struct usb_card_rec *cardp); void if_usb_free(struct usb_card_rec *cardp);
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment