Commit e9a4d647 authored by James Mayer's avatar James Mayer Committed by Tom Rini

[PATCH] arch_ia64_sn_io_sn1_pcibr.c, typo: the the

parent daf9aa93
......@@ -7485,7 +7485,7 @@ pcibr_device_flags_set(devfs_handle_t pconn_vhdl,
#ifdef LITTLE_ENDIAN
/*
* on sn-ia we need to twiddle the the addresses going out
* on sn-ia we need to twiddle the addresses going out
* the pci bus because we use the unswizzled synergy space
* (the alternative is to use the swizzled synergy space
* and byte swap the data)
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment